图书介绍

模拟集成电路与数字集成电路设计工具实用教程PDF|Epub|txt|kindle电子书版本网盘下载

模拟集成电路与数字集成电路设计工具实用教程
  • 韩雁,韩晓霞,张世峰编著 著
  • 出版社: 北京:电子工业出版社
  • ISBN:9787121320248
  • 出版时间:2017
  • 标注页数:391页
  • 文件大小:73MB
  • 文件页数:402页
  • 主题词:集成电路-计算机辅助设计-高等学校-教材

PDF下载


点此进入-本书在线PDF格式电子书下载【推荐-云解压-方便快捷】直接下载PDF格式图书。移动端-PC端通用
种子下载[BT下载速度快]温馨提示:(请使用BT下载软件FDM进行下载)软件下载地址页直链下载[便捷但速度慢]  [在线试读本书]   [在线获取解压码]

下载说明

模拟集成电路与数字集成电路设计工具实用教程PDF格式电子书版下载

下载的文件为RAR压缩包。需要使用解压软件进行解压得到PDF格式图书。

建议使用BT下载工具Free Download Manager进行下载,简称FDM(免费,没有广告,支持多平台)。本站资源全部打包为BT种子。所以需要使用专业的BT下载软件进行下载。如BitComet qBittorrent uTorrent等BT下载工具。迅雷目前由于本站不是热门资源。不推荐使用!后期资源热门了。安装了迅雷也可以迅雷进行下载!

(文件页数 要大于 标注页数,上中下等多册电子书除外)

注意:本站所有压缩包均有解压码: 点击下载压缩包解压工具

图书目录

第一部分 模拟集成电路设计工具及使用1

第1章 电路仿真工具软件使用3

1.1 Cadence电路仿真工具包3

1.1.1 Cadence设计环境简介3

1.1.2 电路图输入工具Virtuoso Schematic Composer5

1.1.3 仿真环境工具Analog Design Environment6

1.1.4 仿真结果的显示及处理9

1.1.5 建立子模块10

1.1.6 示例(D触发器)11

1.2 Hspice的使用13

1.2.1 Hspice简介13

1.2.2 *.sp文件的生成14

1.2.3 Hspice的运行与仿真14

1.3 Ultrasim仿真技术15

1.3.1 Ultrasim技术简介15

1.3.2 Ultrasim仿真环境设置16

1.4 芯片封装的建模与带封装信息的仿真19

1.4.1 Modeling RF IC Packages简介19

1.4.2 PKG的具体使用19

第2章 设计实例——基准源、噪声、开关电容设计及验证24

2.1 电压基准源设计24

2.1.1 电压基准源简介24

2.1.2 电压基准源分类24

2.1.3 实现带隙基准源的原理25

2.1.4 基准源启动电路26

2.1.5 基准源噪声27

2.1.6 基准源输出驱动27

2.1.7 基准源计算机仿真28

2.1.8 基准源的版图设计31

2.2 CMOS集成电路噪声分析及仿真31

2.2.1 噪声类型32

2.2.2 噪声分析方法33

2.2.3 连续时间系统的噪声仿真步骤34

2.3 开关电容电路仿真36

2.3.1 开关电容电路背景知识36

2.3.2 开关电容电路的精度37

2.3.3 使用双相无交叠时钟的开关电容电路的分析方法38

2.3.4 开关电容电路的Cadence仿真方法39

2.3.5 开关电容电路频率响应仿真40

2.3.6 开关电容电路的噪声仿真42

第3章 版图绘制及其工具软件49

3.1 典型CMOS工艺流程简介49

3.2 设计规则简介52

3.3 Virtuoso软件简介及使用53

3.3.1 Virtuoso软件启用53

3.3.2 Virtuoso中快捷键的使用56

3.3.3 反相器版图绘制举例57

3.3.4 PDK简介59

3.4 Laker软件简介及使用62

3.4.1 Laker使用时需要的文件62

3.4.2 Laker软件启用及主窗口63

3.4.3 Laker基本的版图编辑功能介绍63

3.4.4 Laker特有的高级版图编辑功能介绍66

3.4.5 原理图驱动的版图编辑67

3.4.6 设计实例68

3.5 版图设计中的相关主题73

3.5.1 天线效应73

3.5.2 Dummy的设计73

3.5.3 Guard Ring的设计75

3.5.4 Match的设计76

第4章 版图验证与后仿真78

4.1 版图验证与后仿真简介78

4.2 Diva验证工具79

4.2.1 Diva DRC规则文件79

4.2.2 Diva版图提取文件81

4.2.3 LVS文件的介绍83

4.2.4 寄生参数提取文件83

4.2.5 Diva的使用84

4.3 Calibre验证工具88

4.3.1 Calibre规则文件88

4.3.2 Calibre的用法89

4.3.3 数模混合电路LVS的操作方法94

第5章 设计所需规则文件的详细说明96

5.1 完整的Diva DRC、Extract、LVS规则文件96

5.1.1 Diva DRC规则文件96

5.1.2 Diva Extract规则文件97

5.1.3 Diva LVS规则文件98

5.2 Diva层次处理语句的图文解释99

5.2.1 逻辑命令99

5.2.2 关系命令101

5.2.3 选择命令104

5.2.4 尺寸命令105

5.2.5 层生成命令106

5.2.6 存储命令107

5.3 Diva中DRC和寄生参数提取语句107

5.3.1 Diva DRC语句107

5.3.2 Diva寄生参数提取语句110

第二部分 数字集成电路设计工具及使用113

第6章 系统级建模与数模混合仿真116

6.1 MATLAB简介117

6.2 MATLAB的Toolboxes117

6.2.1 数字信号处理118

6.2.2 滤波器设计118

6.2.3 Link For ModelSim119

6.3 MATLAB的编程122

6.4 Simulink仿真基础123

6.4.1 Simulink简介123

6.4.2 Simulink的模块124

6.4.3 Simulink仿真参数的设定124

6.4.4 Simulink系统仿真的简单实例125

6.5 Verilog-A简介130

6.6 Verilog-A的编程130

6.6.1 基本语法131

6.6.2 基本表达式132

6.6.3 模拟运算符132

6.6.4 Verilog-A仿真133

6.7 Verilog-A建模实例133

6.7.1 反相器133

6.7.2 利用Cadence中的向导产生模拟模块136

6.8 SpectreVerilog混合信号仿真137

6.8.1 SpectreVerilog仿真简介137

6.8.2 创建模拟模块137

6.8.3 创建数字模块138

6.8.4 设置仿真配置文件138

6.8.5 设置和检查模块划分139

6.8.6 设置数模接口141

6.8.7 设置仿真菜单及仿真结果141

第7章 数字电路设计与Verilog HDL143

7.1 HDL设计方法学简介143

7.1.1 数字电路设计方法143

7.1.2 硬件描述语言143

7.1.3 设计方法学144

7.1.4 Verilog HDL简介144

7.2 Verilog HDL建模概述146

7.2.1 模块146

7.2.2 时延148

7.2.3 三种建模方式148

7.3 Verilog HDL基本语法151

7.3.1 标识符151

7.3.2 注释152

7.3.3 格式152

7.3.4 数字值集合152

7.3.5 数据类型154

7.3.6 运算符及表达式155

7.3.7 条件语句159

7.3.8 case语句160

7.4 结构建模161

7.4.1 模块定义161

7.4.2 模块端口161

7.4.3 实例化语句161

7.5 数据流建模162

7.5.1 连续赋值语句163

7.5.2 阻塞赋值语句163

7.5.3 非阻塞赋值语句164

7.5.4 数据流建模具体实例165

7.6 行为建模166

7.6.1 简介166

7.6.2 顺序语句块166

7.6.3 过程赋值语句166

7.7 可综合设计168

7.7.1 设计准则168

7.7.2 进程划分准则169

7.7.3 可综合子集169

7.7.4 可综合设计中的组合电路设计169

7.7.5 可综合设计中的时序电路设计169

第8章 硬件描述语言的软件仿真与FPGA硬件验证170

8.1 ModelSim的使用170

8.1.1 ModelSim的启动170

8.1.2 ModelSim仿真流程171

8.1.3 编译工艺资源库173

8.1.4 调试173

8.1.5 ModelSim仿真小结174

8.2 NC-Verilog的使用174

8.2.1 ncvlog命令174

8.2.2 ncelab命令176

8.2.3 ncsim命令177

8.2.4 NC-Verilog仿真小结178

8.3 用Debussy调试仿真结果178

8.4 HDL仿真总结180

8.5 FPGA硬件验证180

8.5.1 FPGA基本组成180

8.5.2 FPGA设计流程181

8.5.3 FPGA下载配置184

第9章 逻辑综合与Design Compiler191

9.1 逻辑综合综述191

9.2 用Design Compiler综合电路192

9.2.1 Design Analyzer的启动193

9.2.2 设计读入194

9.2.3 链接196

9.2.4 实例唯一化197

9.2.5 设计环境197

9.2.6 设计约束202

9.2.7 设计的逻辑综合206

9.2.8 逻辑综合结果的分析207

9.2.9 逻辑综合结果保存209

9.2.10 时序约束文件的导出210

9.3 Synplify的使用方法210

9.3.1 Synplify概述210

9.3.2 Synplify设计流程210

9.3.3 Synplify文件类型总结213

9.4 逻辑综合总结213

第10章 自动布局布线及Astro214

10.1 Astro简介214

10.2 数据准备214

10.2.1 库文件214

10.2.2 工艺文件217

10.2.3 设计文件217

10.3 利用Astro进行布局布线的设计流程218

10.3.1 工具启动219

10.3.2 创建设计库219

10.3.3 读入网表文件220

10.3.4 打开设计库和设计单元221

10.3.5 布图规划222

10.3.6 布局229

10.3.7 时钟树综合235

10.3.8 布线前的电源/地线检查240

10.3.9 布线240

10.3.10 可制造性设计处理246

10.3.11 版图验证246

10.3.12 数据输出247

第11章 布局布线工具IC Compiler249

11.1 IC Compiler简介249

11.2 ICC后端设计须知250

11.2.1 后端设计中常用文件的格式说明250

11.2.2 I/O库与标准单元库中的特殊单元251

11.2.3 ICC中的静态时序分析252

11.2.4 ICC中的MCMM256

11.3 利用ICC进行布局布线的设计流程258

11.3.1 设计准备258

11.3.2 布图规划265

11.3.3 布局276

11.3.4 时钟树综合279

11.3.5 布线286

11.3.6 DFM(可制造性设计)289

11.3.7 版图验证295

11.3.8 数据输出296

11.3.9 ECO297

第12章 数字集成电路设计的验证方法299

12.1 OVM验证方法学介绍299

12.2 验证工具QuestaSim软件介绍302

12.3 使用OVM搭建验证环境307

12.4 随机验证315

12.5 形式验证及Formality软件使用方法318

12.5.1 设置Reference Design320

12.5.2 设置Implementation Design322

12.5.3 设置环境323

12.5.4 Match324

12.5.5 Verify324

12.5.6 Debug325

12.5.7 形式验证中所用的脚本及代码325

12.6 静态时序验证及PrimeTime软件使用方法328

12.6.1 静态时序验证328

12.6.2 静态时序分析原理329

12.6.3 基于PrimeTime的静态时序分析330

第13章 可测性设计及可测性设计软件使用336

13.1 可测性设计基础336

13.1.1 测试336

13.1.2 可测性设计336

13.1.3 故障模型336

13.1.4 自动测试矢量生成338

13.1.5 可测性设计的常用方法338

13.2 使用DFTC进行可测性设计340

13.2.1 Synopsys的DFT流程340

13.2.2 DFT扫描链插入342

13.2.3 Synopsys Adaptive Scan压缩344

13.3 使用TetraMAX进行ATPG生成344

13.3.1 TetraMAX的图形界面344

13.3.2 TetraMAX的基本流程345

13.3.3 ATPG测试向量生成346

13.4 DFT设计实例348

13.4.1 设计代码编写348

13.4.2 综合并插入扫描链的过程349

13.4.3 ATPG自动测试矢量生成351

第三部分 Linux操作系统及其他相关知识356

第14章 Linux系统常用命令357

14.1 服务器基本操作357

14.2 Linux文件名称358

14.3 Linux文件存取权限358

14.4 Linux文件系统常用命令359

14.5 程序进程364

14.6 vi的使用365

第15章 Memory Compiler软件Embed-It Integrator使用方法367

第16章 数字IC功耗分析工具PTPX使用方法373

16.1 PTPX中的功耗分析技术373

16.2 PTPX功耗分析所需的文件373

16.3 PTPX中的功耗分析模式及功耗分析流程374

16.3.1 平均功耗分析模式375

16.3.2 无矢量功耗分析模式375

16.3.3 基于时间的功耗分析模式376

16.3.4 PTPX功耗分析报告377

第17章 流片前的Check List379

17.1 检查事项379

17.2 特殊的Cell和Ring的说明381

第18章 集成电路设计领域常用英文缩略语386

参考文献390

热门推荐